Previous

CONSTANT

The Constant module is used to force a constant value onto a bus. The Constant value is assigned to the module by means of a LogiBLOX attribute and can only be changed during the LogiBLOX module generation process.

Figure 4.7 The Constant Module

Output Pins

C

The C output port contains the constant value specified by the user.

Connections: The C output pin is always present.

Attributes

C Value (C_VALUE)

Use the Constant Value attribute to define the data value that is forced onto a bus.

Usage: Edit the Constant Value attribute field to assign a value to the constant. Refer to the “Data Values” section of the “Understanding Attributes” chapter for information on how to specify the data values.

Next