Previous

Simulating Oscillators

The OSC symbol represents the oscillator driver for the XC3000 family. This oscillator driver, a special dedicated circuit on the FPGA, can drive an off-chip crystal oscillator to generate a high-speed clock signal within the FPGA.

The XC4000 and XC5200 families contain multiple-frequency clock signal generators, represented by the OSC4 and OSC5 symbols respectively.

To simulate these clock sources in ViewSim, apply a clock signal to the net connected to the output of the oscillator component.

Next