Return to previous page Advance to next page
Libraries Guide
Chapter 8: Design Elements (OAND2 to OXOR2)

OSC4

Internal 5-Frequency Clock-Signal Generator

XC3000
XC4000E
XC4000X
XC5200
XC9000
Spartan
SpartanXL
Spartan2
Virtex
N/A
Primitive
Primitive
N/A
N/A
Primitive
Primitive
N/A
N/A

OSC4 provides internal clock signals in applications where timing is not critical. The available frequencies are determined by FPGA device components, which are process dependent. Therefore, the available frequencies vary from device to device. Nominal frequencies are 8 MHz, 500 kHz, 16 kHz, 490 Hz, and 15 Hz. Although there are five outputs, only three can be used at a time, with 8 MHz on one output and one frequency each on any two of the remaining four outputs. An error occurs if more than three outputs are used simultaneously.